Military Embedded Systems

Kreeger Research

Articles 1 - 1
Radar/EW

Accelerating floating-point designs on FPGAs using math.h functions - Story

August 26, 2010
Floating-point math is increasingly necessary for 10/10ths accuracy in high-performance computing. Because hardware resources are not infinite for most of us, an understanding of practical approaches such as using math.h library functions for floating-point designs on FPGAs becomes integral.
Articles 1 - 1