Military Embedded Systems

SOSA’s impact on electronic warfare designs

Story

October 12, 2023

John McHale

Editorial Director

Military Embedded Systems

Open architecture approaches like the Sensor Open Systems Architecture (SOSA) Technical Standard are changing the way defense electronics designers build tomorrow’s military platforms. SOSA’s impact is felt within the electronic warfare (EW) community as requirements for the products based on the standard become more prevalent riding the modular open systems approach (MOSA) wave within the DoD.

Two years ago, the Sensor Open Systems Architecture (SOSA) Technical Standard revision 1.0 was ratified. Yet its impact on electronic warfare (EW) designs began before that, even before they came up with the official acronym.

EW systems were in large part based on closed architectures rather than open, partially due to the nature of the operational purposes, but also due to the business model of the integrators and prime contractors. While past EW systems leveraged commercial off-the-shelf (COTS) products based on open standards like OpenVPX, they were not what could be described as interoperable.

But a push began to embrace more open architecture designs in other military applications, and the results – faster deployment of technology and lower life cycle costs – were found quite attractive by the end user: the U.S. Department of Defense (DoD). This sparked enthusiasm within DoD circles that led to the formation of the SOSA Consortium and eventually the modular open systems approach (MOSA) mandate from DoD leadership to use open architectures in all new programs and upgrades. The primes and integrators followed suit, as their customers were then pushing for MOSA.

Makers of those systems that were to be aligned with and conformant to the SOSA Technical Standard moved quickly within the consortia to craft specifications – largely adopting existing standards like OpenVPX – to get to the release of SOSA 1.0 and see SOSA alignment end up in EW system requirements from the DoD. Benefits of that push are today being realized in those designs.

“EW is one of the primary sensor modalities of SOSA,” says Mark Littlefield, Sr. Manager, Embedded Computing Solutions, Elma Electronic (Fremont, California). “As a result, it has been a part of the decision-making for the SOSA Technical Standard since day one. Many of the port and signal definitions of both the VPX and VNX+ slot profiles were chosen to address the needs of EW, as has the SOSA module architecture.

“We are already well into the era of multimode sensors, where a sensor may be called upon to do different types of radar, act as a communications device, or to perform electronic warfare or SIGINT [signals-intelligence] functions. Having a common architecture that supports all of these functions means that integration of different functions is much easier, and common functional modules can be shared among the functions.”

Size is an important factor within EW designs, though in the past it was often cited as a reason not to use open architectures. That may not be as much of an issue anymore.

“EW systems are often physically smaller than other types of sensor systems and must be tucked away in awkward and sometimes space-constrained physical locations; this is especially true of aircraft,” Littlefield adds. “SOSA’s inclusion of VNX+ as a fully SOSA aligned small-form-factor plug-in card means that integrators have a COTS option even when the system is physically too small for even 3U VPX.”

Commonality from the cards and modules as well as separation of elements in the system are additional benefits.

“The common Plug-In Card Profiles allow for rapid insertion of new capabilities into existing processing infrastructure as they become available. This [enables] the system to maintain the pace of innovation at the silicon level, ultimately resulting in outpacing adversaries,” says Jake Braegelmann, Vice President of Business Development at New Wave Design and Verification (New Wave DV – Minneapolis, Minnesota). “Another benefit of the standardization brought by the SOSA Technical Standard is the separation of front-end system elements from processing elements, and processing elements separated from algorithms.

“There will certainly still be companies that do all three elements well: RF front ends, digital processing hardware, and algorithms,” he continues. “However, the SOSA Technical Standard, along with other MOSA elements, allow for innovation in one area by a market participant without that innovator also being required to provide the other elements. This is beneficial for the whole ecosystem and ultimately the warfighter.” (Figure 1.)

[Figure 1 ǀ New Wave DV offers the V6061 3U VPX Versal ASoC FPGA + Ethernet offload optical I/O module.]

The technical commonality enables MOSA strategies like SOSA to succeed. One of the success metrics lies in how quickly technology can be delivered to the warfighter.

“The primary benefit is it accelerates new technology insertion in response to: rapidly evolving threats over time and next-generation silicon that offers higher performance, higher integration, and power optimization,” says Dinesh Jain, FPGA Product Manager for Abaco Systems (Huntsville, Alabama). “The modular system design approach enables different parts of the sensor processing chain to be upgraded without having to redesign the entire system, [thereby] accelerating field deployment.”

Jain says other advantages include:

  • Quicker time-to-market as suppliers are able to invest in new technology development without waiting for program requirements because slot profiles are well-defined, which reduces the lead time for integrators to implement technology upgrades using latest-generation products.
  • New functionality that was previously unavailable, such as AI/ML [artificial intelligence/machine learning] at the edge.
  • Longer EW program life cycle because a common chassis and standards-based pin-compatibility simplifies future technology upgrades.
  • Supplier independence: Integrators have more choices and can select the best fit for the application, since everyone is building to the same standards.

SOSA also creates sensor fusion through its sensor processing chain.

“The SOSA Technical Standard provides the ability to create a high-performance heterogeneous sensor processing system that provisions for many sources of data input/output and mediums of data – optical, RF, electrical, networked/point-to-point – in standard profiles,” Braegelmann says. “This creates an ideal sensor fusion processor with the ability to upgrade processing capability rapidly over time and leverage standards-based interfaces to the various data sources/syncs, providing for innovation at the different system elements independently.”

Requiring SOSA

A clear sign that SOSA momentum is increasing is when SOSA becomes common in requirements for new DoD EW programs and upgrades.

“We see SOSA aligned products requirements in the specifications for most of the new programs,” says Emil Kheyfets, Director, Mil-Aero Business Development, Aitech Systems (Chatsworth, California). “For many programs, SOSA requirements are no longer a ‘good to have’ option, but rather a required element.” (Figure 2.)

[Figure 2 ǀ Aitech provides the SOSA aligned U-C8500 Tiger Lake-based 3U VPX single-board computer.]

The leap in requirements is prevalent in EW applications.

“We are actually seeing a jump in overall demand within the EW space,” Elma’s Littlefield says. “EW is often a more dynamic sensor environment than other sensors because integrators are having to adapt to evolving threats. SOSA is making that job much easier. As a result, the demands for SOSA based products is growing.”

The demand for SOSA is not limited to a single product, either: “We are seeing demand for development hardware, chassis and backplanes, switches, deployment backplanes, and single board computers,” says Ken Grob, Director of Embedded Computing Architectures, Elma Electronic. (Figure 3.)

[Figure 3 ǀ Elma’s ATR-3600S is an off-the-shelf half-ATR specifically designed for deployable applications requiring alignment with the SOSA Technical Standard.]

At Abaco “[we see requirements] for most new programs of record where 3U and 6U systems are specified,” Jain says. He notes, however, that he is not seeing requirements for “existing programs that are upgrading their pre-SOSA platforms, or for non-3U/6U VPX designs such as small form factor (SFF) where a SOSA specification has not yet been ratified.”

Misconceptions dispelled

While SOSA content is becoming more prevalent in EW program requirements, there remain misconceptions about what SOSA is and how it will affect designs and the pace of business.

“The biggest misconception about SOSA within [EW] design cycles is the applicability of the various section of the SOSA standard to a specific system design,” Kheyfets says. “For example, if SOSA standardized systems are an overkill for the target design, SOSA aligned modules can still be used in the smaller application-specific system to reduce cost and complexity, while providing other MOSA/SOSA benefits.”

Littlefield says the biggest misconceptions in the EW community are, for the most part, the same as in all sensor communities, such as:

  • Industry standards are too bulky and laden with overheads to be useful
  • They don’t contribute much to better time-to-deployment
  • SOSA is a U.S.-only thing, and of no value to organizations or programs outside of the U.S.

“All of these we are repeatedly showing as not being the case, and community-wide it’s becoming clear that SOSA works,” he notes. “EW does have the added challenges of extremely low latencies in the tasks that an EW system must perform. SOSA has had to go to some lengths to address this, [and] in fact, still has some work to do. However, those lingering issues will be addressed in time.”

Despite its openness, some industry folks believe that SOSA constrains designs.

A common misunderstanding about SOSA is that it “constrains optimal designs – [that] standardization could be seen as limiting when architecting an optimal EW platform,” says Michael Wurts, FPGA & GPU Product Specialist at Abaco Systems. This angle manifests itself in two ways: placing the SOSA platform as close to the sensor for low-latency processing and by the standardization of slot profiles limiting available thin pipe/fat pipe I/O, which may not be enough to process and transmit large datasets for processing. These issues are being addressed, however.

“The SOSA consortium is working on small-form-factor standardization such as VNX for space-constrained requirements, including placement in proximity to the sensor for low-latency EW processing,” Wurts continues. “The first SOSA aligned design can be a bit challenging due to having to design to the standard, but the investment is worth the benefits for subsequent designs. Because of defined standards, suppliers are proactively implementing creative solutions for higher performance on SOSA platforms [instead of waiting] on a program opportunity.” (Figure 4.)

[Figure 4 ǀ One Abaco SOSA aligned VP461 can replace as many as five standard payload VPX cards.]

Where is the edge?

The phrase “edge” is often used to describe sensor designs, but opinions vary where the edge actually resides.

“I don’t know if I would say it is a misconception necessarily, but there is some debate within EW designs – and all new sensor designs in general – about where the ‘edge’ is located,” Braegelmann says. “Is the edge within a SOSA aligned processor box, or does the push to the edge necessitate moving processing all the way into the sensor aperture itself when possible?

“If the edge is in the aperture itself, can the solution still be SOSA aligned? And if you move all the way to the aperture, can you still accomplish sensor fusion by aggregating the other sources of intelligence such as radar and EO/IR; or is meaningful data removed at the edge, and it is no longer available for sensor fusion?”

Featured Companies

Elma Electronic

44350 S. Grimmer Blvd.
Fremont, California 94538

New Wave Design & Verification

10260 Viking Drive Suite 250
Eden Prairie, Minnesota 55344

Aitech

19756 Prairie Street
Chatsworth, CA 91311

Abaco Systems

8800 Redstone Gateway
Huntsville, AL 35808

U.S. Department of Defense (DoD)

1400 Defense Pentagon
Washington, DC 20301-1400